Сайт о телевидении

Сайт о телевидении

» » Преобразование аналоговой информации в цифровую форму. Основные характеристики ацп

Преобразование аналоговой информации в цифровую форму. Основные характеристики ацп

В этой статье рассмотрены основные вопросы, касающиеся принципа действия АЦП различных типов. При этом некоторые важные теоретические выкладки, касающиеся математического описания аналого-цифрового преобразования остались за рамками статьи, но приведены ссылки, по которым заинтересованный читатель сможет найти более глубокое рассмотрение теоретических аспектов работы АЦП. Таким образом, статья касается в большей степени понимания общих принципов функционирования АЦП, чем теоретического анализа их работы.

Введение

В качестве отправной точки дадим определение аналого-цифровому преобразованию. Аналого-цифровое преобразование – это процесс преобразования входной физической величины в ее числовое представление. Аналого-цифровой преобразователь – устройство, выполняющее такое преобразование. Формально, входной величиной АЦП может быть любая физическая величина – напряжение, ток, сопротивление, емкость, частота следования импульсов, угол поворота вала и т.п. Однако, для определенности, в дальнейшем под АЦП мы будем понимать исключительно преобразователи напряжение-код.


Понятие аналого-цифрового преобразования тесно связано с понятием измерения. Под измерением понимается процесс сравнения измеряемой величины с некоторым эталоном, при аналого-цифровом преобразовании происходит сравнение входной величины с некоторой опорной величиной (как правило, с опорным напряжением). Таким образом, аналого-цифровое преобразование может рассматриваться как измерение значения входного сигнала, и к нему применимы все понятия метрологии, такие, как погрешности измерения.

Основные характеристики АЦП

АЦП имеет множество характеристик, из которых основными можно назвать частоту преобразования и разрядность. Частота преобразования обычно выражается в отсчетах в секунду (samples per second, SPS), разрядность – в битах. Современные АЦП могут иметь разрядность до 24 бит и скорость преобразования до единиц GSPS (конечно, не одновременно). Чем выше скорость и разрядность, тем труднее получить требуемые характеристики, тем дороже и сложнее преобразователь. Скорость преобразования и разрядность связаны друг с другом определенным образом, и мы можем повысить эффективную разрядность преобразования, пожертвовав скоростью.

Типы АЦП

Существует множество типов АЦП, однако в рамках данной статьи мы ограничимся рассмотрением только следующих типов:

  • АЦП параллельного преобразования (прямого преобразования, flash ADC)
  • АЦП последовательного приближения (SAR ADC)
  • дельта-сигма АЦП (АЦП с балансировкой заряда)
Существуют также и другие типы АЦП, в том числе конвейерные и комбинированные типы, состоящие из нескольких АЦП с (в общем случае) различной архитектурой. Однако приведенные выше архитектуры АЦП являются наиболее показательными в силу того, что каждая архитектура занимает определенную нишу в общем диапазоне скорость-разрядность.

Наибольшим быстродействием и самой низкой разрядностью обладают АЦП прямого (параллельного) преобразования. Например, АЦП параллельного преобразования TLC5540 фирмы Texas Instruments обладает быстродействием 40MSPS при разрядности всего 8 бит. АЦП данного типа могут иметь скорость преобразования до 1 GSPS. Здесь можно отметить, что еще большим быстродействием обладают конвейерные АЦП (pipelined ADC), однако они являются комбинацией нескольких АЦП с меньшим быстродействием и их рассмотрение выходит за рамки данной статьи.

Среднюю нишу в ряду разрядность-скорость занимают АЦП последовательного приближения. Типичными значениями является разрядность 12-18 бит при частоте преобразования 100KSPS-1MSPS.

Наибольшей точности достигают сигма-дельта АЦП, имеющие разрядность до 24 бит включительно и скорость от единиц SPS до единиц KSPS.

Еще одним типом АЦП, который находил применение в недавнем прошлом, является интегрирующий АЦП. Интегрирующие АЦП в настоящее время практически полностью вытеснены другими типами АЦП, но могут встретиться в старых измерительных приборах.

АЦП прямого преобразования

АЦП прямого преобразования получили широкое распространение в 1960-1970 годах, и стали производиться в виде интегральных схем в 1980-х. Они часто используются в составе «конвейерных» АЦП (в данной статье не рассматриваются), и имеют разрядность 6-8 бит при скорости до 1 GSPS.

Архитектура АЦП прямого преобразования изображена на рис. 1

Рис. 1. Структурная схема АЦП прямого преобразования

Принцип действия АЦП предельно прост: входной сигнал поступает одновременно на все «плюсовые» входы компараторов, а на «минусовые» подается ряд напряжений, получаемых из опорного путем деления резисторами R. Для схемы на рис. 1 этот ряд будет таким: (1/16, 3/16, 5/16, 7/16, 9/16, 11/16, 13/16) Uref, где Uref – опорное напряжение АЦП.

Пусть на вход АЦП подается напряжение, равное 1/2 Uref. Тогда сработают первые 4 компаратора (если считать снизу), и на их выходах появятся логические единицы. Приоритетный шифратор (priority encoder) сформирует из «столбца» единиц двоичный код, который фиксируется выходным регистром.

Теперь становятся понятны достоинства и недостатки такого преобразователя. Все компараторы работают параллельно, время задержки схемы равно времени задержки в одном компараторе плюс время задержки в шифраторе. Компаратор и шифратор можно сделать очень быстрыми, в итоге вся схема имеет очень высокое быстродействие.

Но для получения N разрядов нужно 2^N компараторов (и сложность шифратора тоже растет как 2^N). Схема на рис. 1. содержит 8 компараторов и имеет 3 разряда, для получения 8 разрядов нужно уже 256 компараторов, для 10 разрядов – 1024 компаратора, для 24-битного АЦП их понадобилось бы свыше 16 млн. Однако таких высот техника еще не достигла.

АЦП последовательного приближения

Аналого-цифровой преобразователь последовательного приближения (SAR, Successive Approximation Register) измеряет величину входного сигнала, осуществляя ряд последовательных «взвешиваний», то есть сравнений величины входного напряжения с рядом величин, генерируемых следующим образом:

1. на первом шаге на выходе встроенного цифро-аналогового преобразователя устанавливается величина, равная 1/2Uref (здесь и далее мы предполагаем, что сигнал находится в интервале (0 – Uref).

2. если сигнал больше этой величины, то он сравнивается с напряжением, лежащим посередине оставшегося интервала, т.е., в данном случае, 3/4Uref. Если сигнал меньше установленного уровня, то следующее сравнение будет производиться с меньшей половиной оставшегося интервала (т.е. с уровнем 1/4Uref).

3. Шаг 2 повторяется N раз. Таким образом, N сравнений («взвешиваний») порождает N бит результата.

Рис. 2. Структурная схема АЦП последовательного приближения.

Таким образом, АЦП последовательного приближения состоит из следующих узлов:

1. Компаратор. Он сравнивает входную величину и текущее значение «весового» напряжения (на рис. 2. обозначен треугольником).

2. Цифро-аналоговый преобразователь (Digital to Analog Converter, DAC). Он генерирует «весовое» значение напряжения на основе поступающего на вход цифрового кода.

3. Регистр последовательного приближения (Successive Approximation Register, SAR). Он осуществляет алгоритм последовательного приближения, генерируя текущее значение кода, подающегося на вход ЦАП. По его названию названа вся данная архитектура АЦП.

4. Схема выборки-хранения (Sample/Hold, S/H). Для работы данного АЦП принципиально важно, чтобы входное напряжение сохраняло неизменную величину в течение всего цикла преобразования. Однако «реальные» сигналы имеют свойство изменяться во времени. Схема выборки-хранения «запоминает» текущее значение аналогового сигнала, и сохраняет его неизменным на протяжении всего цикла работы устройства.

Достоинством устройства является относительно высокая скорость преобразования: время преобразования N-битного АЦП составляет N тактов. Точность преобразования ограничена точностью внутреннего ЦАП и может составлять 16-18 бит (сейчас стали появляться и 24-битные SAR ADC, например, AD7766 и AD7767).

Дельта-сигма АЦП

И, наконец, самый интересный тип АЦП – сигма-дельта АЦП, иногда называемый в литературе АЦП с балансировкой заряда. Структурная схема сигма-дельта АЦП приведена на рис. 3.

Рис.3. Структурная схема сигма-дельта АЦП.

Принцип действия данного АЦП несколько более сложен, чем у других типов АЦП. Его суть в том, что входное напряжение сравнивается со значением напряжения, накопленным интегратором. На вход интегратора подаются импульсы положительной или отрицательной полярности, в зависимости от результата сравнения. Таким образом, данный АЦП представляет собой простую следящую систему: напряжение на выходе интегратора «отслеживает» входное напряжение (рис. 4). Результатом работы данной схемы является поток нулей и единиц на выходе компаратора, который затем пропускается через цифровой ФНЧ, в результате получается N-битный результат. ФНЧ на рис. 3. Объединен с «дециматором», устройством, снижающим частоту следования отсчетов путем их «прореживания».

Рис. 4. Сигма-дельта АЦП как следящая система

Ради строгости изложения, нужно сказать, что на рис. 3 изображена структурная схема сигма-дельта АЦП первого порядка. Сигма-дельта АЦП второго порядка имеет два интегратора и две петли обратной связи, но здесь рассматриваться не будет. Интересующиеся данной темой могут обратиться к .

На рис. 5 показаны сигналы в АЦП при нулевом уровне на входе (сверху) и при уровне Vref/2 (снизу).

Рис. 5. Сигналы в АЦП при разных уровнях сигнала на входе.

Теперь, не углубляясь в сложный математический анализ, попробуем понять, почему сигма-дельта АЦП обладают очень низким уровнем собственных шумов.

Рассмотрим структурную схему сигма-дельта модулятора, изображенную на рис. 3, и представим ее в таком виде (рис. 6):

Рис. 6. Структурная схема сигма-дельта модулятора

Здесь компаратор представлен как сумматор, который суммирует непрерывный полезный сигнал и шум квантования.

Пусть интегратор имеет передаточную функцию 1/s. Тогда, представив полезный сигнал как X(s), выход сигма-дельта модулятора как Y(s), а шум квантования как E(s), получаем передаточную функцию АЦП:

Y(s) = X(s)/(s+1) + E(s)s/(s+1)

То есть, фактически сигма-дельта модулятор является фильтром низких частот (1/(s+1)) для полезного сигнала, и фильтром высоких частот (s/(s+1)) для шума, причем оба фильтра имеют одинаковую частоту среза. Шум, сосредоточенный в высокочастотной области спектра, легко удаляется цифровым ФНЧ, который стоит после модулятора.

Рис. 7. Явление «вытеснения» шума в высокочастотную часть спектра

Однако следует понимать, что это чрезвычайно упрощенное объяснение явления вытеснения шума (noise shaping) в сигма-дельта АЦП.

Итак, основным достоинством сигма-дельта АЦП является высокая точность, обусловленная крайне низким уровнем собственного шума. Однако для достижения высокой точности нужно, чтобы частота среза цифрового фильтра была как можно ниже, во много раз меньше частоты работы сигма-дельта модулятора. Поэтому сигма-дельта АЦП имеют низкую скорость преобразования.

Они могут использоваться в аудиотехнике, однако основное применение находят в промышленной автоматике для преобразования сигналов датчиков, в измерительных приборах, и в других приложениях, где требуется высокая точность. но не требуется высокой скорости.

Немного истории

Самым старым упоминанием АЦП в истории является, вероятно, патент Paul M. Rainey, «Facsimile Telegraph System,» U.S. Patent 1,608,527, Filed July 20, 1921, Issued November 30, 1926. Изображенное в патенте устройство фактически является 5-битным АЦП прямого преобразования.

Рис. 8. Первый патент на АЦП

Рис. 9. АЦП прямого преобразования (1975 г.)

Устройство, изображенное на рисунке, представляет собой АЦП прямого преобразования MOD-4100 производства Computer Labs, 1975 года выпуска, собранный на основе дискретных компараторов. Компараторов 16 штук (они расположены полукругом, для того, чтобы уравнять задержку распространения сигнала до каждого компаратора), следовательно, АЦП имеет разрядность всего 4 бита. Скорость преобразования 100 MSPS, потребляемая мощность 14 ватт.

На следующем рисунке изображена продвинутая версия АЦП прямого преобразования.

Рис. 10. АЦП прямого преобразования (1970 г.)

Устройство VHS-630 1970 года выпуска, произведенное фирмой Computer Labs, содержало 64 компаратора, имело разрядность 6 бит, скорость 30MSPS и потребляло 100 ватт (версия 1975 года VHS-675 имела скорость 75 MSPS и потребление 130 ватт).

Литература

W. Kester. ADC Architectures I: The Flash Converter. Analog Devices, MT-020 Tutorial.

Аналого-цифровой преобразователь - это устройство, предназначенное для преобразования непрерывно-изменяющейся во времени физической величины в эквивалентные ей значения цифровых кодов. В качестве аналоговой величины может быть напряжение, ток, угловое перемещение, давление газа и т.д.

Процесс аналого-цифрового преобразования предполагает последовательное выполнение следующих операций (рис.13.5):

Выборку значений исходной аналоговой величины в некоторые заданные моменты времени, т.е. дискретизация сигнала во времени,

Квантование (округление преобразуемой величины до некоторых известных величин) полученной в дискретные моменты времени значения аналоговой величины по уровню,

Кодирование - замена найденных квантовых значений некоторыми числовыми кодами .

Рис. 13.5. Принцип аналого-цифрового преобразования.

Погрешность интегрирующего АЦП определяется, в основном, изменением наклона пилообразного напряжения, которое определяется постоянной времени RC интегратора (генератора пилообразного напряжения). Под воздействием внешних дестабилизирующих факторов, особенно температуры, постоянная времени, а следовательно, и наклон пилообразного напряжения меняется, что приводит к значительным погрешностям преобразования. Поэтому в настоящее время для построения интегрирующих АЦП используют принцип двойного интегрирования.

Принцип работы АЦП двойного интегрирования заключается в том, что сначала в течении некоторого фиксированного временного интервала Т 1 интегрируется аналоговая преобразуемая величина U x , а затем интегрируется эталонное (опорное) напряжение противоположной полярности U оп. Временной интервал Т 2 пропорционален преобразуемой величине U x .

Рис 13.11. Структурная схема АЦП двойного интегрирования (а) и временная диаграмма его работы (б) Действительно в течении интервала времени Т 1 напряжение на выходе интегратора изменяется по линейному закону:

В течении интервала времени Т 2 выходное напряжение на выходе интегратора изменяется от Uвых.инт.мах до 0, т.е.

Следовательно,

Таким образом интервал времени Т 2 зависит от постоянной величины Т 1 /U оп и переменной U х и не зависит от параметров интегратора. В этом можно убедиться на графике, приведенном на рис.13.12.

Рис 13.12. Напряжение на выходе интегратора при постоянной времени τ 1 = R 1 * C 1 (1) и при τ 2 = R 2 * C 2 (1) АЦП двойного интегрирования обеспечивает высокую точность преобразования в условиях промышленных помех в широком интервале температур и широко используется в измерительной технике и автоматизированных системах управления.

Например, основу всех мультиметров составляет АЦП двойного интегрирования, выполненная на микросхеме К572ПВ2 или К572ПВ5. ИС практически одинаковые, но первая работает на светодиодные индикаторы, а вторая - на жидкокристаллические индикаторы.

Микросхема К572ПВ2 совместно с источником опорного напряжения, несколькими резисторами и конденсаторами выполняет функции АЦП двойного интегрирования с автоматической установкой нуля ОУ и определением полярности входного сигнала.

Основные технические параметры ИС:

Разрядность - 3,5 десятичных разряда,

Входное сопротивление - 50Мом,

Входное напряжение - ±1,999Uоп(В),

Быстродействие - (2-9)Гц,

Потребляемый ток - 1,8 мА

Напряжение питания - 9В.

Рис 13.13. ИС К572ПВ2 (а) и выходное напряжение на выходе генератора (б)

Работа ИС происходит под воздействием тактовых импульсов f ти внутреннего генератора импульсов в три этапа:

На первом этапе Т 1 , длительностью 4000 периодов f ти, происходит интегрирование напряжения U x ,

На втором этапе, длительностью от 0 до 8000 периодов, f ти происходит интегрирование опорного напряжения U оп и

На третьем этапе, длительностью от 4000 до 12000 периодов f ти, происходит автоматическая установка нуля ОУ.

Весь цикл преобразования занимает 16000 тактов.

Многоканальные АЦП широко используются для преобразования нескольких однотипных аналоговых величин. Такие АЦП включают в себя аналоговый коммутатор и один из рассмотренных выше АЦП.

Рис 13.14. Многоканальный АЦП

Преобразование происходит последовательно параметр за параметром. Аналоговый коммутатор поочередно подключает на вход АЦП через усилитель все входные сигналы.

4. Преобразование аналогового сигнала в цифровой. Введение в специальность

4. Преобразование аналогового сигнала в цифровой

Цифровые сигналы

Во всем мире сейчас активно развивается цифровая телефония. Качество цифровой телефонной связи значительно выше, чем обычной, поскольку цифровые сигналы меньше боятся всякого рода помех. Цифровой телефон позволяет предоставить нам массу дополнительных услуг. Появляется возможность к одной и той же телефонной линии подключить, казалось бы, внешне совершенно различные устройства – телефонный аппарат и персональный компьютер. Через цифровую телефонную сеть владельцам персональных компьютеров открывается доступ к банкам данных с широким ассортиментом информации.

В наши дома приходит цифровое кабельное телевидение, дающее необыкновенную четкость изображения и сочность красок; на прилавках магазинов мы можем увидеть аппаратуру цифровой звуко- и видеозаписи, обеспечивающую уникальное качество звука и изображения. Что же такое цифровой сигнал? Впервые мы столкнулись с ним, когда обсуждали факсимильный сигнал, полученный с черно-белого изображения, не содержащего полутонов.

Цифровыми сигналами являются телеграфные сигналы и сигналы передачи данных, вырабатываемые компьютерами. Таким образом, можно сказать, что цифровой сигнал – это последовательность импульсов . Если принять условно факт наличия импульса за 1, а факт его отсутствия за 0, то импульсную последовательность можно представить как чередование двух цифр: 0 и 1. Отсюда и появилось название «цифровой сигнал». Число, которое принимает только два значения: 0 и 1, называется «двоичной цифрой». В переводе на английский это звучит как «binary digit». В практику широко вошло сокращение, составленное из начальных и конечных букв английского словосочетания, т.е. слово «bit», что на английском читается как бит . Итак, одна позиция в цифровом сигнале есть 1 бит; это может быть либо 0, либо 1. Восемь позиций в цифровом сигнале объединяется понятием байт . При передаче цифровых сигналов естественным образом вводится понятие скорости передачи – это количество бит, передаваемых в единицу времени, чаще всего, в секунду.

Дискретизация аналоговых сигналов

По своей природе многие сигналы (телефонные, факсимильные, телевизионные) не являются цифровыми. Это аналоговые, или непрерывные, сигналы. Можно ли «переложить» живую человеческую речь на язык нулей и единиц, сохранив при этом все богатое разнообразие красок человеческого голоса, всю гамму человеческих эмоций? Другими словами, речь идет о том, как заменить непрерывный процесс последовательностью цифр, не потеряв при этом информации о непрерывном процессе.

С подобной проблемой мы сталкиваемся в жизни довольно часто. Если через очень короткие промежутки времени (скажем, через 1с) наносить значения температуры воздуха на график, то получим множество точек, отражающих изменение температуры (рис. 4.1). Таким образом, имеем дело не с непрерывной кривой изменения температуры, а лишь с ее значениями, отсчитанными через определенные промежутки времени. По сути говоря, мы описали некоторый непрерывный процесс последовательностью десятичных цифр. Подобный процесс называется дискретизацией непрерывного сигнала. Невыясненным остался вопрос, как часто следует брать отсчетные значения непрерывной кривой, чтобы отследить все ее изменения. Так, при более длительных промежутках времени между наблюдениями за температурой воздуха не удается отследить все ее быстрые изменения.

Аналогичный подход лежит в процессе дискретизации телефонного сигнала. Если в цепь микрофона (рис. 4.2), где ток является непрерывной функцией времени, встроить электронный ключ и периодически на короткие мгновения замыкать его, то ток в цепи будет иметь вид узких импульсов с амплитудами, повторяющими форму непрерывного сигнала, и представлять собой ничто иное, как дискретный сигнал (см. рис. 4.2). Интервал времени через который отсчитываются значения непрерывного сигнала, называется интервалом дискретизации . Обратная величина (обозначим ее ) называется частотой взятия отсчетов, или частотой дискретизации .

Отсчеты непрерывного сигнала, так же, как и отсчеты температуры, следует брать с такой частотой (или через такой интервал времени), чтобы успевать отследить все, даже самые быстрые, изменения сигнала. Иначе при восстановлении этого сигнала по дискретным отсчетам часть информации будет потеряна и форма восстановленного сигнала будет отличаться от формы исходного (рис. 4.3). Это означает, что звук на приеме будет восприниматься с искажениями. Чтобы разобраться с этим вопросом, начнем с колебания струны. Вы тронули струну, она стала вибрировать и своим движением то сжимать, то разряжать окружающий воздух или, другими словами, то повышать, то понижать его давление. Слои воздуха повышенного и пониженного давления начали разбегаться во все стороны от колеблющегося тела. Образовалась звуковая волна. Нечто похожее наблюдаем, когда бросаем камни в воду и смотрим на расходящиеся кругами волны. Гребни этих волн можно сравнить с областью сжатого воздуха, впадины – с областью разреженного воздуха. Давление звуковой волны, распространяющейся от струны, изменяется во времени по закону синусоиды. Чтобы отследить все ее изменения, очевидно, достаточно брать отсчетные значения в моменты, соответствующие максимумам и минимумам синусоиды т.е. с частотой, превышающей по крайней мере вдвое частоту звукового колебания. Например, если струна совершает 20 колебаний/с (частота 20 Гц), то максимальное звуковое давление будет наблюдаться через каждый 1/20 с, т.е. через 50 мс. Максимумы и минимумы кривой звукового давления разделены интервалами в 25 мс. Значит, отсчетные значения по кривой должны следовать не реже, чем через 25 мс, или с частотой 40 отсчетов/c (40 Гц). Обычно отсчетные значения на кривой берут «с запасом»: не в 2 раза чаще, чем колеблется звук, а, скажем, в 10 раз. В этом случае они очень хорошо передают форму кривой. Интересен случай, когда звуковые волны излучают две одновременно колеблющиеся струны. На рис. 4.4 показаны три варианта: вторая струна колеблется в 2, 3 и 10 раз чаще, чем первая. Давления двух звуковых волн на пластину, помещенную на их пути, складываются. График результирующего давления уже не является синусоидой. Мы видим, что быстрые изменения в этой кривой обусловлены более высокочастотным колебанием (в данном случае колебанием второй струны). Для того чтобы отследить все быстрые изменения результирующего звукового давления, отсчетные значения следует брать с частотой, по крайней мере вдвое превышающей частоту колебания второй струны. В последнем варианте частота взятия отсчетных значений должна превышать 400 Гц. Это означает, что отсчетные значения должны следовать не реже, чем через 1/400 = 0,0025 c = 2,5 мс, а лучше – еще чаще, например через 0,5 мс. При изучении речи мы выяснили, что голосовые связки у человека играют роль струн. Самое высокочастотное колебание этих «струн», которое по рекомендации МСЭ необходимо еще учитывать, имеет частоту 3400 Гц. При переходе от аналогового речевого сигнала к цифровому это значение обычно округляют до4000 Гц. Это значит, что при замене непрерывной кривой электрического тока на выходе микрофона телефонного аппарата отсчетными значениями последние необходимо брать с частотой 8000 Гц или, другими словами, не реже, чем через 1/8000 = 0,000125 c = 125 мкс.

Чтобы восстановить исходный сигнал из дискретного, достаточно пропустить дискретный сигнал через фильтр нижних частот с граничной частотой полосы пропускания F и подавить все «боковые» спектры. На выходе такого фильтра появится исходный непрерывный сигнал. При слишком редкой дискретизации (низкая частота дискретизации и большой интервал дискретизации ) будет иметь место наложение на спектр исходного сигнала «бокового» спектра. Это приведет к искажению формы исходного спектра, и значит, к отличию восстановленного сигнала от исходного. Наоборот, более частая дискретизация позволит легко восстановить непрерывный сигнал из дискретного с помощью несложного фильтра нижних частот. Таким образом, для безыскаженного восстановления непрерывного сигнала из дискретного необходимо частоту дискретизации выбирать не ниже удвоенной ширины его спектра . Для телефонного сигнала, как мы это видим, = = 8 кГц.В 1933 году в работе «О пропускной способности «эфира» и проволоки в электросвязи» В.А. Котельников доказал теорему, ставшую основополагающей в теории и технике цифровой связи. Суть этой теоремы состоит в том, что непрерывный сигнал, у которого спектр ограничен частотой F , может быть полностью и однозначно восстановлен по его дискретным отсчетам, взятым с частотой = 2F , т.е. через интервалы времени . Мы не приводим полную математическую формулировку теоремы, а также ее доказательство, а лишь ограничиваемся указанием сути теоремы.

Квантование

Пусть в результате дискретизации непрерывного сигнала s (t ) была получена последовательность узких импульсов, которая представляет собой АИМ-сигнал. Амплитуды импульсов равны в этом случае мгновенным значениям сигнала s (t ) в моменты , где i = 0, 1, 2, 3, ...; – период следования импульсов, или интервал дискретизации.

Подвергнем полученный АИМ-сигнал квантованию по уровню (рис. 4.5). Для этого диапазон возможных значений амплитуд (т.е. диапазон значений первичного сигнала) делится на отрезки, называемые шагами квантования . Границы этих отрезков являются разрешенными для передачи значений амплитуд импульсов. Таким образом, амплитуды передаваемых импульсов будут равны не мгновенным значениям первичного сигнала, а ближайшим разрешенным уровням. Такое преобразование первичных сигналов можно называть квантованной амплитудно-импульсной модуляцией (КАИМ). Особенностью КАИМ-сигнала является то, что все его уровни можно пронумеровать (а их число хотя и большое, но конечное) и тем самым свести передачу КАИМ-сигнала к передаче последовательностей номеров уровней, которые этот сигнал принимает в моменты . Если шаги квантования одинаковы и не зависят от уровня квантования, то квантование называют равномерным. Возможно неравномерное квантование, при котором шаги квантования различны. В процессе квантования возникает ошибка вследствие того, что передаваемый квантованный сигнал отличается от истинного. Эту ошибку можно рассматривать как специфическую помеху – шум квантования. Последний представляет собой случайную последовательность импульсов (рис. 4.6), максимальное значение амплитуды которых не превышает половины шага квантования. Чем меньше шаг квантования, тем меньше шум, но больше число передаваемых разрешенных уровней. Следующий шаг в преобразовании сигнала состоит в переводе квантованного АИМ-сигнала в цифровой. Эта операция называется кодированием КАИМ-сигнала.

Кодирование

Познакомимся с одним замечательным свойством нашей системы счисления – позиционностью. Изобразим какое-нибудь число, например 777. В нем один и тот же знак «7» участвует 3 раза, но когда он стоит справа, то означает семь единиц, в центре – семь десятков, слева – семь сотен. Таким образом, при записи числа цифра может иметь начертание одно и то же, а цифровые значения – разные, в зависимости от места, позиции, разряда, на котором она стоит. Такой принцип построения чисел называется поместным, или позиционным. Для записи любых сколь угодно больших чисел достаточно десяти цифр!Каждая позиция, или разряд, числа имеет определенный «вес» (единицы, десятки, сотни и т.д.), поэтому число 777 можно расписать как

777 = 7 × 10 2 + 7 × 10 + 7,

т.е. как семь сотен плюс семь десятков плюс семь единиц. Если призвать на помощь алгебру и вместо чисел записать буквы, то можно получить такую общую форму представления числа:

или сокращенную – через коэффициенты, если опускать степени числа 10:
.

Число 10 является основанием системы счисления. Коэффициенты (число единиц), (число единиц второго разряда, т.е. десятков), (число единиц третьего разряда, т.е. сотен) и т.д. могут принимать значения, не превышающие основания системы: от 0 до 9. В 1665 г. французский математик Б. Паскаль показал, что за основание системы счисления можно принять любое число, а это значит, что каждое число можно представить в виде комбинации степеней не числа 10, какого-либо другого целого числа. Выберем, например, число 7:

Ясно, что значения коэффициентов должны теперь быть не больше нового основания, т.е. 7: они могут принимать значения от 0 до 6. Представим число 777 в семеричной системе, разлагая его по степеням основания 7:
.

Если опустить степени числа 7, как мы делаем при записи чисел в десятичной системе, то получим семеричную запись этого числа: (2160)7. Здесь цифра 7 в индексе указывает основание системы. В пятеричной позиционной системе всего пять цифр: 0, 1, 2, 3, 4. В ней число 777 будет представляться количеством «пятерок», «двадцатипяток» и т.д.:
.

Посмотрим, как будет представлено число 777 в двенадцатеричной системе. Поскольку в ней должно быть двенадцать цифр, а мы знаем только десять, то придется ввести еще две цифры, обозначив 10, скажем, буквой A, а 11 – буквой B. В результате получим
.

Как видите, можно придумать много различных позиционных систем счисления, отличающихся только основаниями. И все они, вообще говоря, равнозначны: ни одна из них не имеет явных преимуществ перед другой!Число 2 – это самое меньшее из чисел, которое можно взять за основание системы счисления. Поэтому в двоичной системе счисления всего две цифры: 0 и 1. Число в двоичной системе запишется так:
.

Если в десятичной системе «вес» каждой позиции (или разряда) числа равен числу 10 в некоторой степени, то в двоичной системе вместо числа 10 используют число 2. «Веса» первых 13 позиций (разрядов) двоичного числа имеют следующие значения:

Попробуем записать уже привычное нам число (777)10 в двоичной системе счисления, представляя его в виде разложения по степеням двойки и отбрасывая потом при записи сами степени:

Итак, в двоичной системе счисления вместо числа 777 приходится писать число 1100001001.При записи числа в двоичной системе каждая позиция занята двоичной цифрой. Вместо двух слов «двоичная цифра» употребляют одно слово: «бит». Мы уже упоминали, что оно произошло от английского «bit», составленного из начальных и конечной букв словосочетания «binary digit», что в переводе с английского означает «двоичная цифра». С помощью одного бита можно записать только число 0 и 1, двух бит – числа от 0 до 3, трех бит – числа от 0 до 7, четырех бит – числа от 0 до 15 и т.д.

Десятичная запись:

Двоичная запись:

Чтобы записать числа от 0 до 1 000, потребуется десять бит. В двоичной системе счисления даже сравнительно небольшое число занимает много позиций. Как теперь перевести дискретные значения тока микрофона в цифровой двоичный код? В XVIII веке крупнейший математик Л. Эйлер показал, что с помощью набора гирь 1, 2, 4, 8, и 16 кг можно взвесить любой груз с точностью до 1 кг. Взвешиваемый груз (обозначим его массу через М , кг) математически можно представить как

Где каждый коэффициент a = 1, если соответствующую гирю кладем на чашу весов, a = 0, если этой гирей не пользуемся при взвешивании. Таким образом, процедура взвешивания сводится к представлению десятичного числа в двоичной системе счисления. Поясним это на примере. Пусть нам нужно взвесить груз массой 21 кг. Поставим сначала на чашу весов самую большую гирю – массой 16 кг. Поскольку она не перетягивает груз, оставим гирю на чаше ( = 1) и добавим следующую – 8 кг. Ясно, что в этом случае чаша весов с гирями перетянет чашу с грузом. Снимем эту гирю ( = 0) и установим гирю массой 4 кг. Проведя взвешивание до конца, мы увидим, что на весах остались гири массой 16, 4 и 1 кг. Значения коэффициентов дают пятиразрядный двоичный код 10101 числа 21. Механический груз мы взвешивали на механических весах. Считая отсчетное значение тока, появляющееся на выходе электронного ключа, своего рода «электрическим грузом», можно осуществить аналогичное взвешивание, но на этот раз электронным способом. Такие «электронные весы» назвали кодером (от английского соder – кодировщик). Допустим, отсчетное значение тока равно 21 мА. Роль «электрических гирь» в кодере выполняют эталонные токи величиной 16, 8, 4, 2 и 1 мА, которые вырабатываются специальным устройством. Каждая проба – подходит та или иная «гиря» либо нет – производится в строго установленные промежутки времени. Вся процедура взвешивания должна закончиться до прихода с электрического ключа следующего отсчетного значения тока (напомним, для звуков речи это время составляет всего 125 мкс). Итак, сначала отсчетное значение тока сравнивается с эталоном, равным 16 мА, и, поскольку оно больше эталона, на выходе кодера появляется импульс тока, что соответствует двоичной цифре 1. В следующий интервал времени к первому эталонному току добавляется второй величиной 8 мА. Теперь суммарный вес «электрической гири» равен 24 мА. Это больше отсчетного значения, поэтому второй эталонный генератор отключается. На данном интервале времени импульс тока на выходе кодера не появляется, что соответствует двоичной цифре 0. Думаем, читатели без труда завершат процедуру взвешивания. Таким образом, за время взвешивания одного отсчетного значения кодер вырабатывает серию импульсов, полностью повторяющую двоичный код отсчетного значения микрофонного тока. Нельзя не напомнить вновь еще об одном виде искажений, появляющихся при переводе отсчетного значения тока в двоичный код. Так, если кодированию подвергается отсчетное значение 21,7 мА, кодер все равно выдает код 10101, как и в случае целого значения 21 мА. Это и понятно, поскольку «взвешивание» проводилось с точностью до 1 мА – веса самой меньшей «электрической гири». Такое округление чисел в технике называется квантованием, а разница между отсчетным значением тока и величиной, набираемой двоичным кодом, – ошибкой квантования. Однако и искажения, вызванные ошибками квантования, можно если и не исключить совсем, то по крайней мере значительно уменьшить. Пусть, например, самая маленькая «электрическая гиря» будет иметь «вес» 0,125 мА. Тогда, взяв восемь «гирь», соответствующие 16; 8; 4; 2; 1; 0,5; 0,25; 0,125 мА, можно будет «взвешивать» отсчетные значения тока с точностью до 0,125 мА. При этом число 21 представится 8-разрядным двоичным кодом 10101000, а число 21,7 – кодом 10101101, где последние три цифры означают добавку 0,625 к числу 21. Применение же 12-разрядного двоичного кода позволяет вместо числа 21,7 набрать весьма близкое к нему число 21,6921895. Успехи в развитии интегральной микросхемотехники позволили объединить в корпусе одной небольшой микросхемы электронный ключ и кодер. Эта микросхема преобразует непрерывную (часто говорят аналоговую) электрическую величину в двоичный цифровой код и известна под названием аналого-цифрового преобразователя (АЦП). Выпускаются АЦП с 8-, 10- и 12-разрядными двоичными кодами. Интересно подсчитать, какую скорость имеет цифровой поток, полученный из непрерывного телефонного сигнала путем дискретизации его через 125 мкс и 8-разрядного кодирования. За секунду ток микрофона изменяется 8000 раз. В 8-разрядном кодере каждое измеренное значение тока представляется двоичным словом из 8 бит. Значит, каждую секунду в линию отправляется 8000 × 8 = 64000 бит, т.е. скорость цифрового потока равна 64 кбит/сек.

Кодовая комбинация из 8 бит, образующая двоичное слово, называется байтом . Символы в каждой кодовой комбинации отделены друг от друга временным интервалом t т, т.е. следует с частотой . Эта частота называется тактовой . Преобразование отсчетов непрерывного сигнала в двоичный код называется импульсно-кодовой модуляцией (ИКМ). В настоящее время этот способ получения цифровых сигналов из аналоговых наиболее распространен. Системы передачи, использующие данное преобразование сигналов, называются ИКМ системами. В иностранной литературе используется аббревиатура РСМ (от английских слов pulse code modulation, что в переводе как раз и означает импульсно-кодовая модуляция).

Восстановление аналоговых сигналов

Все устройства, предназначенные для демодуляции сигналов, будут рассмотрены при изучении конкретных систем передачи и аппаратуры, входящей в состав этих систем. При приеме сигналов ИКМ для восстановления аналогового сигнала необходимо преобразовать цифровой сигнал (последовательность двоичных импульсов) в квантованный АИМ сигнал (такое преобразование называется декодированием ) и затем осуществить операцию демодуляции, т.е. выделения из АИМ-сигнала аналогового сигнала s (t ). Итак, при использовании ИКМ выполняются следующие преобразования аналогового сигнала: в пункте передачи – амплитудно-импульсная модуляция, квантование и кодирование; в пункте приема – декодирование и демодуляция квантованного АИМ сигнала. Полученный на приеме аналоговый сигнал отличается от переданного, так как образуется из квантованных импульсов, амплитуды которых равны не мгновенным значениям сигнала s (t ), а ближайшим разрешенным значениям. Таким образом, операция квантования вносит в процесс передачи сигнала неустранимую ошибку, которая тем меньше, чем больше уровней квантования. А как узнать, какое десятичное число скрывается под его записью в двоичной системе? Правило простое: под каждым разрядом двоичного числа следует записать его «вес». Те «веса», которые соответствуют единичным разрядам, нужно сложить. Полученная сумма и явится десятичным числом. Вот перед нами число 1001011, записанное в двоичной нумерации. Поступаем согласно сказанному выше:

Как видим, заинтересовавшее нас число складывается из единицы, двойки, восьмерки и шестидесяти четырех (1 + 2 + 8 + 64). Очевидно, оно равно 75. Попробуйте самостоятельно определить, какому числу соответствует его двоичная запись 10110011. В состав декодера входит преобразователь последовательного кода в параллельный (рис. 4.7), на выходах которого появляется набор единиц и нулей, соответствующий принятой кодовой комбинации. Каждая единица (токовый импульс) поступает на вход сумматора с весом, где увеличивается в 2 k раз. На выходе сумматора возникает импульс, амплитуда которого определяется кодовой комбинацией на входе декодера. Например, при прохождении кодовой комбинации 0100110 на первый, четвертый, пятый и седьмой входы сумматора напряжение не подается (бестоковые импульсы), а на второй, третий и шестой входы подается напряжение, которое увеличивается соответственно в 2 1 , 2 2 и 2 5 раз. На выходе сумматора появляется напряжение, пропорциональное 2 1 + 2 2 + 2 5 = 38, т.е. квантованный АИМ-сигнал. На следующем шаге необходимо из отсчетных значений тока получить непрерывный ток. Сделать это нам поможет обычный конденсатор небольшой емкости, который при кратковременном воздействии на него тока (т.е. отсчетного значения) мгновенно зарядится и будет удерживать заряд до следующего кратковременного воздействия. Отметим еще раз, что восстановленная таким путем кривая непрерывного тока будет несколько отличаться от той, которая была получена на клеммах микрофона: она будет иметь плоские ступеньки между отсчетными значениями. Можно сказать, что процесс взятия отсчетных значений и последующего восстановления непрерывной кривой тока микрофона сопровождается специфическими искажениями, которые могут повлиять на качество воспроизведения звука. Однако на практике для восстановления тока используют не конденсатор, а более сложные схемы, делающие форму восстановленного тока похожей на форму исходного тока и тем самым сводящие на нет действия указанных искажений.

Контрольные вопросы

1. Что такое цифровой сигнал?
2. С какой частотой следует дискретизировать аналоговый сигнал?
3. Как определить ошибку квантования сигнала?
4. В чем заключается принцип двоичного кодирования сигнала?
5. Как восстановить аналоговый сигнал из цифрового?

Список литературы

1. Крук Б.И., Попов Г.Н. ... И мир загадочный за занавесом цифр: Цифровая связь. – 2-е изд., испр. – Новосибирск: ЦЭРИС, 2001. – 264 с.
2. Бакалов В.П., Дмитриков В.Ф., Крук Б.И. Основы теории цепей: Учебник для вузов; Под ред. В.П. Бакалова. – М.: Радио и связь, 2000. – 592 с.
3. Журавлева О.Б., Крук Б.И. Дискретные сигналы и цепи: 26 вопросов и ответов: Учебное пособие для дистанционного обучения. – Новосибирск: СибГУТИ, 1999. – 100 с.

При использовании ЭВМ для обработки информации от различных устройств (объектов, процессов), в которых информация представлена непрерывными (аналоговыми) сигналами, требуется пре- образовать аналоговый сигнал в цифровой - в число, пропорциональное амплитуде этого сигнала, и наоборот. В общем случае процедура аналого-цифрового преобразования состоит из трех этапов }